Sunday, July 3, 2011

Bluespec June 2011 Release

Bluespec is an electronic system level (ESL) synthesis solution that addresses algorithms, control logic and complex datapaths. It includes compiler (BSC), simulator (BlueSim), development station (BDW), and emulation platform (emVM). The latest Bluespec version is 2011.04C (?).

It seems Sce-Mi has been improved in this version. Sce-Mi, the Standard Co-Emulation Modeling Interface, is an Accellera standard which was designed to aid in verification of hardware designs. The standard specifies a transaction-based modeling interface used to pass messages between an un-timed software test bench and a design under test (DUT) described in register transfer language (RTL). The DUT can be emulated on an FPGA to achieve better performance than software RTL simulators are capable of.

Core Technology

Bluespec Core Technology
ProductDescription
Bluespec Compiler (BSC)Compiles a high-level model, transactor, test bench or implementation into Verilog RTL or SystemC
Bluespec Simulator (Bluesim)Simulates Bluespec designs 5X-20X faster than RTL
Bluespec Development Workstation (BDW)Provides a high-level GUI-based development environment for the design, analysis and debug of Bluespec designs
emVMProvides debug, instrumentation & co-emulation for easy emulation of synthesizable models and legacy RTL with third-party FPGA boards/emulators

The following links in http://www.bluespec.com/forum/ provide the related documentations:

  • The Bluespec Reference Guide is a detailed language reference manual including full documentation for the library packages

reference-guide.pdf
Description:
BSV Reference Guide (6/11)

Download
Filename: reference-guide.pdf
Filesize: 3.44 MB
Downloaded: 5185 Time(s)


  • The BSV User Guide describes the mechanics of running the Bluespec tools, from either the development workstation or the command line, including complete descriptions of compiler flags and Bluesim documentation.
user-guide.pdf
Description:
BSV User Guide (6/11)

Download
Filename: user-guide.pdf
Filesize: 1.96 MB
Downloaded: 5904 Time(s)


  • This Bluespec example book teaches the BSV language through small, complete, executable BSV programs. While not an exhaustive reference manual of all BSV features, it describes many of the most commonly used features. A tar file containing all examples in machine-readable form is also provided.

bsv_by_example.pdf
Description:
BSV by example document (6/11)

Download
Filename: bsv_by_example.pdf
Filesize: 1.23 MB
Downloaded: 2809 Time(s)


bsv_by_example_appendix.tar.gz
Description:
Appendix containing all example source code, including workstation files. The appendix is provided as a tar file. (6/11)

Download
Filename: bsv_by_example_appendix.tar.gz
Filesize: 30.7 KB
Downloaded: 565 Time(s)

  • This emVM Use Guide document describes Bluespec's emVM environment for implementing software-hardware co-emulation with FPGAs.

emVM.pdf
Description:
emVM user guide (6/11)

Download
Filename: emVM.pdf
Filesize: 1.58 MB
Downloaded: 8 Time(s)


No comments:

Followers

Blog Archive

About Me

My photo
HD Multimedia Technology player